Tweak operator precedence

This commit is contained in:
Jeff 2024-08-10 00:14:38 -04:00
parent 2ae75dcdd0
commit f2823b6236

View File

@ -134,18 +134,18 @@ impl<'src> Token<'src> {
pub fn precedence(&self) -> u8 { pub fn precedence(&self) -> u8 {
match self { match self {
Token::Equal | Token::PlusEqual => 8, Token::Dot => 12,
Token::Semicolon => 7, Token::Star | Token::Slash | Token::Percent => 10,
Token::DoubleAmpersand | Token::DoublePipe => 6, Token::Plus | Token::Minus => 9,
Token::Greater Token::DoubleEqual
| Token::GreaterEqual
| Token::Less | Token::Less
| Token::LessEqual | Token::LessEqual
| Token::DoubleEqual => 5, | Token::Greater
Token::Dot => 4, | Token::GreaterEqual => 8,
Token::Percent => 3, Token::DoubleAmpersand => 7,
Token::Star | Token::Slash => 2, Token::DoublePipe => 6,
Token::Plus | Token::Minus => 1, Token::Equal | Token::PlusEqual => 5,
Token::Semicolon => 4,
_ => 0, _ => 0,
} }
} }